亚洲五月天一区二区三区-日本午夜福利视频在线-日本欧美一区二区不卡免费-日韩深夜视频在线观看

【頭條】A股半導(dǎo)體公司Q1超6成凈利增長,46家實(shí)現(xiàn)翻倍;蘋芯科技N300存算一體NPU,開啟端側(cè)AI新征程;美擬立法追蹤英偉達(dá)AI芯片去向

來源:愛集微 #芯片# #半導(dǎo)體#
1837

1.221家半導(dǎo)體公司Q1業(yè)績排行榜:超6成公司凈利同比增長 46家實(shí)現(xiàn)翻倍

2.蘋芯科技 N300 存算一體 NPU,開啟端側(cè) AI 新征程

3.半導(dǎo)體工藝 “軍備競賽”將轉(zhuǎn)向 High-NA EUV,2nm 只是起點(diǎn)

4.Chiplet的崛起之路,如何突破困局?

5.美國芯片關(guān)稅征收倒計(jì)時,稅率高達(dá)100%?半導(dǎo)體廠商屏息以待

6.三星代工業(yè)務(wù)再遭打擊,AMD放棄采用其4nm制程技術(shù)

7.美議員擬推新法案:追蹤英偉達(dá)AI芯片去向,遏制對華偷運(yùn)


1.221家半導(dǎo)體公司Q1業(yè)績排行榜:超6成公司凈利同比增長 46家實(shí)現(xiàn)翻倍

截至4月底,A股上市公司一季報披露已接近尾聲。經(jīng)過梳理,筆者發(fā)現(xiàn),在人工智能、國產(chǎn)替代等熱點(diǎn)的有力推動下,多家半導(dǎo)體公司一季度業(yè)績表現(xiàn)不俗,紛紛交出了一份亮眼的成績單。

據(jù)集微網(wǎng)不完全統(tǒng)計(jì),截至4月30日,A股有221家半導(dǎo)體公司披露了2025年一季度度報告,超過7成公司營收出現(xiàn)同比增長;盈利方面,更是有134家公司歸母凈利潤出現(xiàn)同比增長,占比高達(dá)60.63%,超過六成。

221家企業(yè)合計(jì)營收2233億元

據(jù)集微網(wǎng)統(tǒng)計(jì)的A股半導(dǎo)體公司2025年一季度業(yè)績顯示,221家公司實(shí)現(xiàn)營業(yè)收入合計(jì)2233.07億元,平均每家企業(yè)10.1億元。

從業(yè)績規(guī)模來看,營收超過100億元的公司有4家,分別是中電港、環(huán)旭電子、楚江新材、聞泰科技,其營收分別為174.7億元、136.49億元、131.46億元、130.99億元。

營收在50~100億元(含)區(qū)間的企業(yè)有6家,長電科技、北方華創(chuàng)、太極實(shí)業(yè)、韋爾股份、通富微電、納思達(dá),其營收分別為93.35億元、82.06億元、67.19億元、64.72億元、60.92億元、59.27億元。

營收在30~50億元(含)區(qū)間的企業(yè)有6家,三安光電、江波龍、華天科技、深科技、晶盛機(jī)電、士蘭微,其營收分別為43.12億元、42.56億元、35.69億元、33.65億元、31.38億元、30億元。

營收在15~30億元(含)區(qū)間的企業(yè)有21家,分別是晶合集成、海光信息、華潤微、中微公司、雅克科技、兆易創(chuàng)新、有研新材、三環(huán)集團(tuán)、好上好、思特威、芯聯(lián)集成、揚(yáng)杰科技、佰維存儲、晶晨股份、格科微。

營收增幅方面,有172家公司營收實(shí)現(xiàn)同比增長,占比達(dá)到77.83%。其中,營收增幅超過50%區(qū)間的企業(yè)有32家,占比14.48%;營收增幅在20%~50%(含)區(qū)間的企業(yè)有58家,占比達(dá)到26.24%;營收增幅在0%~20%(含)區(qū)間的企業(yè)有84家,占比達(dá)到38%;營收增速同比下降的企業(yè)有49家,占比為22.17%。

從增長幅度來看,寒武紀(jì)的營收同比增長幅度最高,達(dá)到4230.22%,緊隨其后則是ST鋮昌、芯動聯(lián)科,其2025年一季度營收分別同比增長365.26%、291.77%。

營收增幅在100%~200%之間的企業(yè)有6家,分別是云天勵飛、仕佳光子、和林微納、思瑞浦、思特威、鍇威特,其營收同比增幅分別為168.23%、120.57%、115.95%、110.88%、108.94%、100.91%。

營收增幅在50%~100%之間的企業(yè)有23家,分別是智立方、納芯微、萬業(yè)企業(yè)、神工股份、長光華芯、瀾起科技、精測電子、康希通信、東微半導(dǎo)、瑞芯微、炬芯科技、杰華特、德邦科技、偉測科技、京儀裝備、艾森股份、燦勤科技、敏芯股份、恒玄科技、廣立微、全志科技、海光信息,以及拓荊科技。

營收同比增幅在40%~50%(含)區(qū)間的企業(yè)有14家,分別是中電港、芯朋微、峰岹科技、路維光電、上海新陽、長川科技、希荻微、華峰測控、安集科技、樂鑫科技、賽微微電、泰凌微、盛美上海,以及源杰科技。

營收同比增幅在30%~40%(含)區(qū)間的企業(yè)有19家,分別是晶華微、凌云光、北方華創(chuàng)、長電科技、黃山谷捷、匯成真空、中微公司、思科瑞、臻鐳科技、捷捷微電、華海清科、東芯股份、日聯(lián)科技、美芯晟、國民技術(shù)、聯(lián)特科技、雅克科技、慧智微、甬矽電子。

34家公司歸母凈利潤翻倍增長

2025年一季度,有163家A股半導(dǎo)體公司歸母凈利潤實(shí)現(xiàn)盈利,合計(jì)盈利152.52億元,另有58家企業(yè)凈利潤出現(xiàn)虧損,共計(jì)虧損32.44億元。

從歸母凈利潤規(guī)模來看,221家企業(yè)中,共有6家企業(yè)凈利潤超過5億元,占比達(dá)到2.71%;凈利潤在1~5億元(含)的企業(yè)有36家,占比為16.29%;凈利潤在0~1億元(含)的企業(yè)有121家,占比54.75%;凈利潤虧損的企業(yè)有58家,占比為26.24%。

具體來看,歸母凈利潤超過5億元的公司有6家,分別為北方華創(chuàng)、韋爾股份、晶盛機(jī)電、三環(huán)集團(tuán)、瀾起科技、海光信息,其歸母凈利潤分別為15.81億元、8.66億元、5.73億元、5.33億元、5.25億元、5.06億元。

歸母凈利潤在2-5億元之間的企業(yè)有13家,分別為寒武紀(jì)、環(huán)旭電子、中微公司、揚(yáng)杰科技、聞泰科技、雅克科技、盛美上海、兆易創(chuàng)新、華海清科、順絡(luò)電子、三安光電、瑞芯微、長電科技,其歸母凈利潤分別為3.55億元、3.35億元、3.13億元、2.73億元、2.61億元、2.6億元、2.46億元、2.35億元、2.33億元、2.33億元、2.12億元、2.09億元、2.03億元。

歸母凈利潤在1-2億元之間的企業(yè)有23家,分別是匯頂科技、思特威、恒玄科技、晶晨股份、深科技、安集科技、江豐電子、士蘭微、睿創(chuàng)微納、鼎龍股份、復(fù)旦微電、晶合集成、楚江新材、中瓷電子、飛凱材料、紫光國微、太極實(shí)業(yè)、捷捷微電、長川科技、新潔能、火炬電子、斯達(dá)半導(dǎo)、通富微電。

在歸母凈利潤增幅方面,134家企業(yè)凈利潤出現(xiàn)同比增長,占比達(dá)到60.63%。其中,凈利增幅超過100%的企業(yè)46家,占比為20.81%;凈利增幅在50~100%(含)的企業(yè)23家,占比為10.41%;凈利增幅在0~50%(含)的企業(yè)65家,占比為29.41%;另外,凈利增長率下降的企業(yè)有87家,占比39.37%。

從凈利潤增長幅度來看,有研新材歸母凈利潤同比增長14698.12%,居于首位。緊隨其后分別是偉測科技、芯動聯(lián)科、長川科技、神工股份、思特威、博通集成、士蘭微、仕佳光子,增幅分別為8577.53%、2869.17%、2623.82%、1850.7%、1264.97%、1256.1%、1072.43%、1003.79%,均超過1000%。

歸母凈利潤增幅在300%-1000%(含)之間的企業(yè)有13家,分別是泰凌微、恒玄科技、和林微納、晶瑞電材、聯(lián)特科技、臻鐳科技、智立方、英集芯、蘇州固锝、炬芯科技、精測電子、凌云光、*ST鋮昌,其歸母凈利潤增幅分別為910.55%、590.22%、589.99%、582.15%、499.92%、495.74%、488.96%、395.62%、395.6%、385.67%、336.06%、324.49%、300.06%。

歸母凈利潤增幅在100%-300%(含)之間的企業(yè)有24家,分別是盛景微、好上好、寒武紀(jì)、

ST華微、瑞芯微、盛美上海、臺基股份、上海新陽、甬矽電子、華峰測控、江豐電子、宏微科技、東田微、華潤微、瀾起科技、思瑞浦、美芯晟、賽微電子、敏芯股份、賽微微電、慧智微、概倫電子、僑源股份,以及飛凱材料。

從整體表現(xiàn)來看,2025年一季度,A股半導(dǎo)體公司的經(jīng)營業(yè)績十分亮眼,展現(xiàn)出強(qiáng)勁的增長勢頭。

2.蘋芯科技 N300 存算一體 NPU,開啟端側(cè) AI 新征程

隨著端側(cè)人工智能技術(shù)的爆發(fā)式增長,智能設(shè)備對本地算力與能效的需求日益提高。而傳統(tǒng)馮·諾依曼架構(gòu)在數(shù)據(jù)處理效率上存在瓶頸,“內(nèi)存墻”問題成為制約端側(cè)AI性能突破的關(guān)鍵掣肘。在這一背景下,存算一體芯片憑借低功耗、高帶寬,以及相對的通用性能,正在成為賦能智能終端、物聯(lián)網(wǎng)設(shè)備以及邊緣計(jì)算場景的核心動力。

科技創(chuàng)新企業(yè)蘋芯科技深耕存算一體技術(shù),推出N300存算一體NPU,在不改變傳統(tǒng)MCU形態(tài)的同時為傳統(tǒng)MCU芯片賦予AI能力,突破傳統(tǒng)MCU的算力瓶頸,為端側(cè)設(shè)備加載AI提供了革命性的解決方案。蘋芯科技在接受集微網(wǎng)采訪時表示,目前存算一體技術(shù)在國內(nèi)外企業(yè)的不懈努力下已經(jīng)實(shí)現(xiàn)商業(yè)化應(yīng)用,存算一體芯片也即將全面進(jìn)入千行百業(yè),為人工智能的大規(guī)模應(yīng)用提供不竭的算力支撐。

架構(gòu)創(chuàng)新,存算技術(shù)釋放數(shù)十倍能效比提升

存算一體并非最新提出的概念,發(fā)展歷程可以追溯到上個世紀(jì)。1969年,斯坦福研究所的Kautz等人首次提出了存算一體計(jì)算機(jī)的概念,旨在將計(jì)算單元與存儲單元融合,實(shí)現(xiàn)數(shù)據(jù)存儲與計(jì)算的同步進(jìn)行。此后,多倫多大學(xué)(1992年)和伯克利實(shí)驗(yàn)室(1997年)都相繼嘗試以邏輯電路的形式拉近存儲與計(jì)算的距離。

2000年以后,隨著大數(shù)據(jù)以及人工智能技術(shù)的發(fā)展,人們對于并行計(jì)算的需求日益增長,存算一體技術(shù)受到更多關(guān)注。特別是Transformer架構(gòu)的流行,生成式模型已經(jīng)出現(xiàn)上千億,甚至更高參數(shù)量的需求,對存儲的要求也越來越高、帶寬越來越大。傳統(tǒng)馮·諾依曼架構(gòu)的數(shù)據(jù)搬運(yùn)模式很難滿足AI芯片的計(jì)算效率,這就給存算技術(shù)帶來了新的商業(yè)化空間。

根據(jù)蘋芯介紹,傳統(tǒng)芯片是先把數(shù)據(jù)從存儲系統(tǒng)中讀取出來,放到計(jì)算單元當(dāng)中進(jìn)行運(yùn)算,然后再把計(jì)算結(jié)果傳回到存儲系統(tǒng)當(dāng)中。這種大規(guī)模的數(shù)據(jù)遷移導(dǎo)致了帶寬的瓶頸和功耗的浪費(fèi)。存算一體的核心創(chuàng)新在于“計(jì)算發(fā)生在數(shù)據(jù)存儲的位置”。它從根本上避免了上述情況的發(fā)生,同時帶來一系列的性能優(yōu)勢。以蘋芯科技開發(fā)的SRAM存算單元為例,它直接在存儲器內(nèi)部完成乘累加運(yùn)算,徹底消除了數(shù)據(jù)搬運(yùn)需求。測試數(shù)據(jù)顯示,這一技術(shù)可將數(shù)據(jù)遷移能耗降低90%以上,同時將能效比提升至27.38 TOPS/W,較傳統(tǒng)架構(gòu)提升數(shù)十倍(該成果已入選ISSCC 2022)。

目前,存算一體技術(shù)的商業(yè)化進(jìn)程已處于成熟落地應(yīng)用階段,大規(guī)模應(yīng)用即將全面鋪開。比如搭載了存算一體NPU的MCU芯片,已用于智能監(jiān)控?cái)z像頭等設(shè)備中,實(shí)現(xiàn)人臉識別、行為分析、目標(biāo)檢測等視頻圖像的實(shí)時分析和處理;在智能手表、智能手環(huán)等設(shè)備中,進(jìn)行心率監(jiān)測、運(yùn)動姿態(tài)識別等時實(shí)數(shù)據(jù)監(jiān)測和分析。

聚焦終端側(cè),規(guī)模商業(yè)化突破的現(xiàn)實(shí)選擇

通常,工業(yè)界在評價一個架構(gòu)的商業(yè)化前景時,除了關(guān)注技術(shù)層面的發(fā)展?jié)摿σ酝?,還要考慮通用性、快速迭代能力,以及成本等核心指標(biāo)。此外,相比馮·諾依曼架構(gòu)來說,存算一體架構(gòu)的專用性更強(qiáng)。由于從設(shè)計(jì)上是將計(jì)算單元與存儲單元融合在一起,在進(jìn)行技術(shù)迭代時也會面臨更多的挑戰(zhàn)。這些都是業(yè)界探索存算一體技術(shù)應(yīng)用落地時,需要考慮的要點(diǎn)。因此,蘋芯指出,相對于云端高度復(fù)雜的生態(tài)、技術(shù)挑戰(zhàn),率先從終端側(cè)尋求突破是更加現(xiàn)實(shí)的選擇。

首先,云端計(jì)算往往被定位成一個平臺,因而更加強(qiáng)調(diào)泛化能力,也就是計(jì)算的通用性。這對更傾向于專用計(jì)算的存算一體芯片來說,設(shè)計(jì)上更具挑戰(zhàn)性。但是在終端側(cè)和邊緣側(cè)的MCU芯片進(jìn)行的更多是一項(xiàng)或者幾項(xiàng)指定功能,比如人臉檢測、語音識別等。這就意味著,終端側(cè)的芯片并不需要那么強(qiáng)的平臺化能力,它的應(yīng)用是相對固定的,因而算法也相對固定,與之相匹配的計(jì)算和存儲的能力也就相對固定。這就讓存算一體芯片有了更多用武之地。

其次,很多終端側(cè)的應(yīng)用場景對芯片的能效比有著極高的要求,一方面要求產(chǎn)品具有輕量化、便攜化的趨勢,需要考慮無法插電源工作的情況;另一方面又有著從非AI轉(zhuǎn)向AI類產(chǎn)品的升級需求。這就需要有高能效比的技術(shù)來支撐,在這方面存算一體芯片更具優(yōu)勢。

此外,終端側(cè)的市場空間同樣足夠廣闊。機(jī)構(gòu)預(yù)測,2025年可穿戴類產(chǎn)品的市場規(guī)模將超過1000億元。今年CES大展上,AI眼鏡和AI玩具成為最火爆的兩類新品。預(yù)計(jì)2025年全年AI眼鏡出貨量可達(dá)幾百萬臺,WellsennXR預(yù)測到2029年全球AI眼鏡銷量或?qū)⑼黄?500萬副,滲透率將提升至3.48%,市場規(guī)模更是有望突破825億元。人工智能向端側(cè)市場的大規(guī)模滲透已經(jīng)開始。

正是基于這樣的判斷,蘋芯科技面向終端側(cè)模型,推出了基于SRAM的存算一體NPU——N300。這是一款可集成于SoC芯片當(dāng)中的IP核,可用于執(zhí)行神經(jīng)網(wǎng)絡(luò)的加速任務(wù)。NPU可以提升神經(jīng)網(wǎng)絡(luò)效率,涵蓋矩陣加速、非線性加速等功能。用戶基于NPU可以打造端側(cè)SoC、MCU等產(chǎn)品。蘋芯表示:“蘋芯科技的比較優(yōu)勢在于產(chǎn)品的快速迭代能力,強(qiáng)調(diào)以小成本的迭代方式,小步快跑、快速驗(yàn)證,為實(shí)現(xiàn)存算一體技術(shù)的大規(guī)模商業(yè)化提供了必要條件?!?/p>

此外,N300 在架構(gòu)設(shè)計(jì)、性能指標(biāo)(如算力、功耗、帶寬等)方面還有許多創(chuàng)新之處,比如存算融合陣列:256KB SRAM中嵌入計(jì)算單元,面積效率達(dá)0.26TOPS/mm2;動態(tài)精度引擎:支持4-16bit混合精度,語音模型量化后精度損失<3‰;多核彈性擴(kuò)展:單核0.5TOPS,十六核集群可達(dá)8TOPS,工業(yè)質(zhì)檢場景吞吐量提升273%。

這些技術(shù)指標(biāo)與性能集于一體,使N300具備了成為終端側(cè)優(yōu)秀AI解決方案的潛在實(shí)力。

生態(tài)與模式,N300在實(shí)際應(yīng)用中的優(yōu)勢所在

目前,有越來越多MCU廠商開始將AI功能深度嵌入到芯片設(shè)計(jì)之中,包括ST、瑞薩、恩智浦以及眾多國內(nèi)企業(yè)。它們大多采用集成NPU的方案,讓芯片得以在端側(cè)直接執(zhí)行圖像識別、語音識別、預(yù)測分析等AI任務(wù),減少對數(shù)據(jù)回傳云端的依賴。

為了滿足用戶的需求,蘋芯科技也在不斷調(diào)整自身的商業(yè)模式。首先,蘋芯科技不僅推出N300 存算一體IP核,還同時開發(fā)了一款SoC芯片——S300,在神經(jīng)網(wǎng)絡(luò)加速部分集成了基于28nm工藝的N300內(nèi)核,主打多模態(tài)和環(huán)境感知功能。這一方面使蘋芯科技具備了向系統(tǒng)廠商提供芯片級解決方案的能力,也意味著N300 作為一款I(lǐng)P核是已經(jīng)得到驗(yàn)證的產(chǎn)品,芯片級用戶在采用它的時候,無需擔(dān)心產(chǎn)品的可靠性。

其次,在生態(tài)方面,N300 支持開源編譯器TFLM。AI加速芯片并不像存儲芯片那樣是一個標(biāo)準(zhǔn)化的產(chǎn)品,可能100家NPU公司,就有100種解決方案。不過目前很多MCU公司已經(jīng)支持開源框架。而N300支持TFLM,意味著與多數(shù)MCU公司采用了同一框架,這樣在軟件上就與MCU是統(tǒng)一的。芯片用戶可以直接使用N300方案進(jìn)行訓(xùn)練,實(shí)現(xiàn)一鍵部署。

第三,N300是一款多模態(tài)融合感知NPU,對于語音、圖像,以及其他傳感數(shù)據(jù)都能給予支持。也就是說,在終端側(cè)的有限應(yīng)用中,它是可以做到相對通用,與其他面向終端側(cè)專用解決方案相比,具有更強(qiáng)的泛化優(yōu)勢,確保了客戶的易用性。

再加上存算一體芯片天然具有的能效比優(yōu)勢、帶寬優(yōu)勢,N300完全具備成為一款面向終端側(cè)AI市場優(yōu)秀解決方案的產(chǎn)品素質(zhì)。事實(shí)上,N300已經(jīng)在市場小范圍推廣,并取得不少成功的商業(yè)化案例。

以TWS耳機(jī)降噪案例為例,近年來TWS耳機(jī)市場火熱,很多廠商采用AI方案實(shí)現(xiàn)本地化語音增強(qiáng)與環(huán)境降噪。N300可被集成在22nm工藝的芯片當(dāng)中,實(shí)現(xiàn)36 GOPS@64MHz的算力,支持DCCRN網(wǎng)絡(luò)(含LSTM)的實(shí)時推理。適配了微型化的終端設(shè)計(jì);同時發(fā)揮極強(qiáng)的功耗控制效能,平均工作功耗<1mW,比傳統(tǒng)的DSP方案降低70%,延長耳機(jī)續(xù)航30%以上。

繼續(xù)深耕,蘋芯科技為邊緣未來布局

展望終端與邊緣側(cè)AI市場發(fā)展趨勢,“存算一體”技術(shù)完全有能力成為該領(lǐng)域的主流芯片架構(gòu)之一。蘋芯表示,未來的計(jì)算架構(gòu)大致有三條發(fā)展路徑:一是存算一體。其將計(jì)算單元與存儲單元融合,在實(shí)現(xiàn)數(shù)據(jù)存儲的同時直接進(jìn)行計(jì)算,以消除數(shù)據(jù)搬移帶來的開銷。二是3D堆疊。這種架構(gòu)出于對存儲帶寬的極致追求,因此是天然是反對存算一體的。第三條路徑則是在前兩種方案之間做平衡,也即近存計(jì)算。它希望在不改變計(jì)算單元,也不改變存儲單元的情況下,盡量縮短存儲與處理器中間的距離,以此改善芯片的性能。

在這三條路徑中,如果計(jì)算和存儲功能相對明確,那么存算一體方案就更具優(yōu)勢,可以更加充分發(fā)揮架構(gòu)帶來的優(yōu)勢。當(dāng)然,目前的存算一體要想實(shí)現(xiàn)大規(guī)模商用仍有很多技術(shù)瓶頸需要突破,包括工藝兼容性的改善,比如eNVM存儲器的穩(wěn)定量產(chǎn);提高設(shè)計(jì)工具鏈的成熟度,實(shí)現(xiàn)自動化EDA工具與跨平臺編譯器的支持,加強(qiáng)代工廠標(biāo)準(zhǔn)IP庫的建設(shè)與優(yōu)化多場景下的制造成本,以便提高產(chǎn)業(yè)鏈的整體協(xié)同能力。同時還需要構(gòu)建開源生態(tài),以解決開發(fā)門檻高、改善算法適配碎片化等問題。這樣才能將存算一體從技術(shù)優(yōu)勢轉(zhuǎn)化為規(guī)?;涞氐哪芰?。而蘋芯科技的優(yōu)勢在于能夠在較短時間內(nèi),只要客戶立項(xiàng)并確定其所采用的工藝,就可以進(jìn)行快速定制并實(shí)現(xiàn)交付。這可以成為用戶大規(guī)模商用中的一大助力。

從市場角度來看,未來3~5年,存算一體芯片將在AIoT和邊緣計(jì)算領(lǐng)域迎來爆發(fā)式增長,市場潛力集中于實(shí)時健康監(jiān)測(如可穿戴ECG實(shí)時分析)、工業(yè)預(yù)測性維護(hù)(振動/溫度信號邊緣診斷)及智慧家居(能效優(yōu)化、數(shù)據(jù)安全與保護(hù))等場景,這就需要高能效比與低成本的產(chǎn)品,精準(zhǔn)匹配邊緣側(cè)對“高能效+低成本+實(shí)時處理”的核心需求。

蘋芯科技已經(jīng)推出支持圖像、語音等多模態(tài)融合處理的N300 ,未來將把這些核心能力,比如CNN/Transformer硬件加速、動態(tài)數(shù)據(jù)流調(diào)度引擎向更多模態(tài)擴(kuò)展,推出新的解決方案?!按嫠阋惑w仍然處于快速發(fā)展階段,這個技術(shù)是不斷被喚醒的,不斷有新的熱點(diǎn)出現(xiàn)。我們已經(jīng)推出一顆芯片和一個IP,實(shí)現(xiàn)了多模態(tài)融合感知。下一步我們將開發(fā)一款LPU(語言處理單元)方向的產(chǎn)品,針對CNN/Transformer硬件加速,把傳送這件事情做到邊緣側(cè)去?!碧O芯透露。

為此,蘋芯科技未來將聚焦22/14nm工藝升級與新型eNVM(如MRAM/RRAM)存算架構(gòu)集成,通過混合精度計(jì)算優(yōu)化和稀疏化加速引擎提升算法效率,同時完善開源編譯器工具鏈(支持多模態(tài)模型一鍵部署)并拓展異構(gòu)計(jì)算IP庫。

蘋芯科技還計(jì)劃在未來的研發(fā)工作中,進(jìn)一步提高存算一體核心單元計(jì)算能效比,并聯(lián)合代工廠推進(jìn)eNVM工藝量產(chǎn),構(gòu)建覆蓋智能穿戴、智慧家居等場景的“存算+”生態(tài),突破設(shè)計(jì)自動化工具與跨平臺適配瓶頸,加速技術(shù)規(guī)?;涞亍?/p>

3.半導(dǎo)體工藝 “軍備競賽”將轉(zhuǎn)向 High-NA EUV,2nm 只是起點(diǎn)

隨著2nm量產(chǎn)在即,英特爾、三星、臺積電以及IMEC等研究機(jī)構(gòu)都將工藝開發(fā)的重點(diǎn)瞄準(zhǔn)了新一代工藝技術(shù)。進(jìn)入埃米時代,先進(jìn)工藝的開發(fā)與生產(chǎn)大概率將采用High-NA EUV光刻機(jī),對于High-NA EUV及相關(guān)工藝的研究也熱了起來。ASML與Imec于3月中宣布簽署新的戰(zhàn)略合作伙伴協(xié)議,重點(diǎn)關(guān)注半導(dǎo)體研究與可持續(xù)創(chuàng)新。 Imec也與蔡司加強(qiáng)合作,目標(biāo)指向High-NA EUV及相關(guān)工藝的應(yīng)用與開發(fā)。

2nm 工藝競速

2nm的量產(chǎn)與應(yīng)用是2025年全球半導(dǎo)體產(chǎn)業(yè)一大看點(diǎn)。臺積電、英特爾、三星都將交付2nm的時間點(diǎn)訂在2025年。

臺積電在2025年北美技術(shù)研討會上透露,該公司有望在今年下半年開始大規(guī)模生產(chǎn)N2(2nm 級)芯片,將實(shí)現(xiàn)所謂的“全節(jié)點(diǎn)改進(jìn)”,首個依賴環(huán)繞柵極(GAA)納米片晶體管的生產(chǎn)技術(shù)。與N3E相比,性能提升10%至15%,功耗降低25%至30%,晶體管密度提升15%。產(chǎn)能在年底前有望達(dá)到5萬片,甚至有機(jī)會邁上8萬片臺階。

英特爾在此前召開的2025愿景大會上也宣布,其Intel 18A工藝節(jié)點(diǎn)已進(jìn)入風(fēng)險生產(chǎn)。這是一個關(guān)鍵的生產(chǎn)里程碑,標(biāo)志著該節(jié)點(diǎn)目前處于小批量測試生產(chǎn)運(yùn)行的早期階段。英特爾處理器Panther Lake很可能是風(fēng)險生產(chǎn)的產(chǎn)品。有消息稱,在未來舉行的2025年VLSI研討會上,英特爾將詳細(xì)介紹18A的情況。綜合此前釋出的資料,18A制造技術(shù)是英特爾首個采用環(huán)繞柵極(GAA)RibbonFET晶體管并采用PowerVia背面供電網(wǎng)絡(luò)(BSPDN)的節(jié)點(diǎn)。與采用Intel 3工藝技術(shù)制造的相同模塊相比,Intel 18A制造工藝在相同電壓(1.1V)和復(fù)雜度下,性能提升25%,在相同頻率和1.1V電壓下,功耗降低36%。

三星電子的晶圓代工部門財(cái)務(wù)狀況不佳,繼2023年錄得2萬億韓元的運(yùn)營虧損后,去年該部門的虧損額預(yù)計(jì)翻倍至4萬億韓元,2025年可能再虧損3萬億韓元。自全永鉉(Jeon Young-hyun)接任半導(dǎo)體部門負(fù)責(zé)人以來,該公司已放緩資本支出。但是,三星電子并沒有放緩對先進(jìn)工藝的開發(fā)。有消息稱,三星的新一代自研移動處理器Exynos 2600將采用自家2nm工藝(SF2),目前試產(chǎn)初始良率達(dá)到了預(yù)計(jì)的30%。另有消息稱,高通的下一代處理器的代工生產(chǎn)也將再度探索使用雙重采購方案 ,利用臺積電和三星代工廠的技術(shù)來降低生產(chǎn)成本。

伴隨2nm工藝的推進(jìn),廠商也在提前布局再下一代工藝的開發(fā)。據(jù)報道,三星電子目標(biāo)在2027年將1.4nm的SF1.4制程量產(chǎn)。在2025年北美技術(shù)研討會上臺積電還透露了計(jì)劃在2026年底推出A16芯片制程,2028年開始使用A14制程的計(jì)劃。而根據(jù)英特爾代工的最新路線圖,Intel 14A(1.4nm級)節(jié)點(diǎn)將于2026年投入生產(chǎn),Intel 10A(1nm級)將于2027年底開始開發(fā)或生產(chǎn)。在此情況下,各大廠商對High-NA EUV光刻工藝開發(fā)也提上議程。

EUV光刻工藝持續(xù)進(jìn)階

英特爾是首家購買 High-NA EUV光刻機(jī)的芯片廠商。2024年,英特爾在其位于俄勒岡州希爾斯伯勒附近的D1開發(fā)工廠安裝并開始使用兩臺ASML High-NA Twinscan EXE:5000 EUV。英特爾工程師 Steve Carson在此前召開的2025年SPIE先進(jìn)光刻 + 圖案會議上透露,已使用這些系統(tǒng)在一個季度內(nèi)處理了多達(dá)3萬片晶圓。英特爾工廠的早期結(jié)果顯示,高數(shù)值孔徑機(jī)器僅用一次曝光和“個位數(shù)”的處理步驟就能完成早期機(jī)器需要三次曝光和大約40個處理步驟才能完成的工作。

imec也在2025年SPIE 先進(jìn)光刻+圖案會議上展示了在單次曝光High-NA EUV光刻后圖案化的20nm間距金屬線結(jié)構(gòu)上獲得的首個電氣測試結(jié)果,使用金屬氧化物(MOR)負(fù)性光刻膠進(jìn)行單次High-NA EUV圖案化后獲得的 20nm 間距的金屬化線結(jié)構(gòu)表現(xiàn)出 90% 以上的良率。此外,imec 還宣布在其與 ASML 合作的High-NA EUV光刻實(shí)驗(yàn)室首次成功利用High-NA EUV光刻機(jī)曝光了邏輯和 DRAM 的圖案結(jié)構(gòu)。在邏輯圖案方面,成功圖案化了單次曝光隨機(jī)邏輯機(jī)構(gòu),實(shí)現(xiàn)了9.5nm 密集金屬線(對應(yīng) 19nm Pitch),將端到端間距尺寸降低至 20nm 以下,還實(shí)現(xiàn)了中心間距 30nm 的隨機(jī)通孔,展現(xiàn)了出色的圖案保真度和臨界尺寸一致性,并構(gòu)建了 P22nm 間距的二維特征,顯示了新一代光刻技術(shù)在二維布線方面的潛力。在 DRAM 領(lǐng)域,成功利用單次曝光圖案化了集成 SNLP 和位線外圍的 DRAM 設(shè)計(jì),展現(xiàn)了High-NA EUV減少曝光次數(shù)的能力。

三星是業(yè)內(nèi)首批成功將EUV工藝應(yīng)用于DRAM生產(chǎn)的公司之一,在 EUV 工藝方面占據(jù)優(yōu)勢。但三星目前在1a DRAM的量產(chǎn)競爭中落后于 SK 海力士。為了提升競爭力,三星正積極引進(jìn)High-NA EUV設(shè)備,預(yù)計(jì) 2025 年中期開始運(yùn)行其首臺High-NA EUV光刻機(jī)。據(jù)悉,SK 海力士也在購買 High-NA EUV設(shè)備,預(yù)計(jì) 2025 年下半年首批接收這些設(shè)備。

同時也有消息稱,臺積電為了滿足2nm的量產(chǎn)需求,也加大了對EUV光刻機(jī)的采購力度,其在2024年就訂購了30臺,并且計(jì)劃在2025年再訂購35臺,其中包括High-NA EUV光刻機(jī)。此前臺積電并未把如此高價格的High-NA EUV作為重點(diǎn)。

EUV 光刻機(jī)的迭代藍(lán)圖

ASML的標(biāo)準(zhǔn)型數(shù)值孔徑極紫外光刻機(jī)(NA EUV)目前可以打印13.5納米的關(guān)鍵尺寸,通過單次曝光,可以產(chǎn)生26nm的最小金屬間距和25-30nm尖端到尖端的近似互連空間間距,這一尺寸可以進(jìn)行4/5nm節(jié)點(diǎn)制程的生產(chǎn)。然而,業(yè)界仍在不斷推進(jìn)先進(jìn)制程的腳步。

如果想要再進(jìn)一步提升EUV光刻機(jī)的分辨率就要從NA指標(biāo)上下手?!癗A”即光學(xué)系統(tǒng)的數(shù)值孔徑,表示光線的入射角度。使用更大的NA透鏡可以打印出更小的特征尺寸,標(biāo)準(zhǔn)EUV使用的是NA=0.33的物鏡系統(tǒng),High-NA EUV使用的是NA=0.55的光學(xué)系統(tǒng)。分辨率也由13.5nm提升到了8nm,可以實(shí)現(xiàn)16nm的最小金屬間距,對于2nm以下制程節(jié)點(diǎn)非常有用。

Imec預(yù)計(jì),即使對于1nm節(jié)點(diǎn),High-NA EUV系統(tǒng)也能提供解決方案。另外,在生產(chǎn)效率方面,High-NA EUV系統(tǒng)每小時可光刻超過185片晶圓,與已在大批量制造中使用的EUV系統(tǒng)相比還有所增加。ASML還制定了到2025年將High-NA EUV的生產(chǎn)效率提高到每小時220片晶圓的路線圖。

除High-NA EUV外,有消息表示,ASML還在計(jì)劃開發(fā)更新一代Hyper-NAEUV光刻機(jī)。ASML 前總裁兼首席技術(shù)官、現(xiàn)任公司顧問 Martin van den Brink 在2024年的 imec ITF World 的演講中表示,ASML 計(jì)劃在 2030 年左右正式推出 Hyper - NA EUV 光刻機(jī),其數(shù)值孔徑(NA)將達(dá)到 0.75,以便實(shí)現(xiàn)更高分辨率的圖案化及更小的晶體管特征。

從目前人們規(guī)劃的晶體管技術(shù)路線圖來看,2030年將進(jìn)入7埃米(0.7nm)時代,2032年有望演進(jìn)到5埃米(0.5nm),2036年有望實(shí)現(xiàn)2埃米(0.2nm)。這就有必要開發(fā)再下一代的EUV光刻機(jī)。

如果想要進(jìn)一步推進(jìn)光刻設(shè)備的進(jìn)步,比如開發(fā)Hyper-NA EUV,增加投影光學(xué)元件的數(shù)值孔徑,將面臨更多挑戰(zhàn)。一方面,光的偏振問題較為突出,從 0.55NA 左右開始光的偏振就會影響對比度,高于 0.55NA 時偏振會破壞對比度,需要偏振器來避免,但偏振器會阻擋光線、降低能效并增加生產(chǎn)成本。標(biāo)準(zhǔn)EUV Twinscan NXE 售價約為1.83 億美元。High-NA EUV 的Twinscan EXE的售價約為3.8 億美元。未來的Hyper-NA EUV的成本預(yù)計(jì)將會更高。另一方面,光刻膠方面也存在挑戰(zhàn),在 0.55NA 時光刻膠就已經(jīng)變薄,這將給蝕刻選擇性帶來更多挑戰(zhàn)。

不過,目前 Hyper - NA EUV 光刻機(jī)還處于早期開發(fā)階段,距離實(shí)際量產(chǎn)和應(yīng)用還有較長時間,相關(guān)技術(shù)仍在不斷研究和探索中。

4.Chiplet的崛起之路,如何突破困局?

半導(dǎo)體行業(yè)對Chiplet(芯粒)的優(yōu)勢贊不絕口,包括更快的上市時間、更出色的性能以及更低的功耗,但要在定制化和標(biāo)準(zhǔn)化之間找到恰當(dāng)?shù)钠胶猓潆y度遠(yuǎn)超最初的預(yù)期。

商用Chiplet市場要真正騰飛,需要對Chiplet的獨(dú)立運(yùn)作和整體運(yùn)作方式有更深入的理解。需要一種一致的方式將Chiplet彼此連接,并將其連接到各種其他組件,對其進(jìn)行特性描述,以便它們能夠在多種設(shè)計(jì)中重復(fù)使用,并對其進(jìn)行封裝和測試。最重要的是,需要一種在設(shè)計(jì)過程伊始就更輕松地完成所有這些工作的方法。雖然這與軟核(Soft IP)市場有一些相似之處,但轉(zhuǎn)向本質(zhì)上是強(qiáng)化IP集合的市場需要更多的結(jié)構(gòu)和熱分析、更多的物理知識,以及對所有組件的封裝和最終使用方式有更深入的理解。

“每個Chiplet都是一塊獨(dú)立的硅片,但它也是主系統(tǒng)內(nèi)部的一個子系統(tǒng)。它很獨(dú)特,因?yàn)樗幌馭oC里的子系統(tǒng)?!盋adence工程師Moshiko Emmer說道,“它必須在某種程度上保持獨(dú)立。你需要單獨(dú)流片。之后你還需要拿回硅片。在將它集成到主系統(tǒng)之前,你至少需要對其進(jìn)行徹底的測試和調(diào)試,這意味著它必須具備一些獨(dú)立的功能,或者說所有的控制功能,所以這里需要一些復(fù)雜的架構(gòu)。”

如今,多芯片組件的標(biāo)準(zhǔn)很少,大多數(shù)是由大型系統(tǒng)和高性能計(jì)算(HPC)處理器公司開發(fā)的,主要使用內(nèi)部開發(fā)的Chiplet。這種情況預(yù)計(jì)在未來幾年內(nèi)會有所改變,但這將取決于更標(biāo)準(zhǔn)化的芯片集成方案的普及,這樣就無需從頭開始開發(fā)所有組件了。

“例如,如果你看一下架構(gòu)標(biāo)準(zhǔn),就會發(fā)現(xiàn)Arm Chiplet系統(tǒng)架構(gòu)(CSA)是兩個Chiplet之間架構(gòu)通信的重要因素?!盡oshiko Emmer說道,“UCIe是允許進(jìn)行這種通信的物理接口,你可以設(shè)計(jì)一個帶有Chiplet 但不帶有UCIe的2.5D和3D芯片。缺乏標(biāo)準(zhǔn)化的問題在于,你可以構(gòu)建定制解決方案,就像大型和超大規(guī)模公司正在構(gòu)建的那樣,這給了他們很大的靈活性,因?yàn)橹灰锢磉B接并符合他們定義的某些架構(gòu)規(guī)范,他們就可以做任何他們想做的事情。他們可以在兩個不同的Chiplet之間通信。他們可以進(jìn)行3D通信。他們可以進(jìn)行2.5D通信。如果是多個Chiplet,他們可以進(jìn)行不同類型的集成?!?/p>

標(biāo)準(zhǔn)化將有助于使這種方法更加普及?!皹?biāo)準(zhǔn)化可以實(shí)現(xiàn)規(guī)模經(jīng)濟(jì)?!彼f,“你可以吸引更多參與者參與其中。我們有很多公司參與硅片市場競爭,尤其是與20年前相比,你可以看到軟件領(lǐng)域也發(fā)生了類似的情況。軟件最初是由大公司驅(qū)動的,后來每個人都擁有一臺電腦,就像大學(xué)里兩個孩子坐在車庫里發(fā)明了谷歌。你在硅片領(lǐng)域很少看到這種情況。這要困難得多,因?yàn)槟阈枰嗟馁Y金。另一方面,采用標(biāo)準(zhǔn)化的Chiplet技術(shù),可以讓規(guī)模較小的參與者以及目前不從事硅片業(yè)務(wù)的大型參與者加入游戲?!?/p>

Chiplet技術(shù)也為更多行業(yè)合作打開了大門?!袄碚撋希@是一個好主意,因?yàn)槿绻也恍枰舛斯に嚰夹g(shù)來實(shí)現(xiàn)某些功能,那么我可以在較舊的工藝技術(shù)上構(gòu)建Chiplet?!盧ambus的杰出發(fā)明家Steven Woo說道,“內(nèi)存標(biāo)準(zhǔn)就是一個例子。DDR4會在市場上銷售10年,因此速度范圍已經(jīng)明確,一段時間后速度不會再變快。所以,我實(shí)際上并不需要尖端工藝技術(shù)來構(gòu)建內(nèi)存控制器、接口等等。也許我可以把它們放在Chiplet上,然后繼續(xù)沿用較舊的工藝節(jié)點(diǎn)。既然標(biāo)準(zhǔn)規(guī)格沒有變化,我又何必費(fèi)心去做呢?”

一個持續(xù)的挑戰(zhàn)是如何以一種幾乎肯定行得通的標(biāo)準(zhǔn)化方式將所有東西連接在一起,但又不會產(chǎn)生過多的開銷。Steven Woo表示:“目前業(yè)界并沒有很多廣泛遵循的標(biāo)準(zhǔn)。當(dāng)然,還有BoW、UCIE以及許多其他提案。但當(dāng)業(yè)界最終團(tuán)結(jié)起來,確定一兩個方案時,就能催生出一個更通用的Chiplet市場。如果你是像英特爾或AMD這樣的垂直整合公司,你可以投入任何對你有意義的東西。但如果你在談?wù)揅hiplet市場,就必須制定這些標(biāo)準(zhǔn)?!?/p>

選擇使用哪種標(biāo)準(zhǔn)會對設(shè)備的架構(gòu)和權(quán)衡產(chǎn)生影響。Eliyan戰(zhàn)略營銷副總裁Kevin Donnelly表示:“2D(有機(jī)基板)和2.5D(硅中介層/橋接器)水平Chiplet連接的設(shè)計(jì)使用類似的芯片間接口,例如BoW和UCIe,以及成熟的熱/機(jī)械分析工具。然而,垂直3D連接的接口完全不同,其電氣原理簡單得多,但物理外形尺寸嚴(yán)格得多,并且熱約束和機(jī)械約束極具挑戰(zhàn)性。例如,由于上方DRAM堆棧的熱約束,定制高帶寬存儲器(HBM)的早期設(shè)計(jì)人員無法在基礎(chǔ)芯片中包含所需的邏輯,這讓他們感到沮喪?!?/p>

這為芯片設(shè)計(jì)增添了新的亮點(diǎn)?!斑^去,考慮這些事情很重要,但不一定從一開始就在設(shè)計(jì)藍(lán)圖上進(jìn)行。”Steven Woo說道,現(xiàn)在,這些事情從第一天起就納入設(shè)計(jì)規(guī)劃,它會影響到封裝和可用的I/O數(shù)量等因素,因?yàn)镮/O變得越來越重要。此外,我們看到,物理效應(yīng)正一代又一代地成為架構(gòu)的關(guān)鍵驅(qū)動因素。因此,物理限制——比如散熱、供電、I/O數(shù)量——在很多方面都限制了布局以及散熱等方式。這意味著你必須提前考慮這些事情,否則日后可能會陷入大麻煩。這并不是說過去業(yè)界沒有合作,而是這促使業(yè)界更加緊密地合作,以確保架構(gòu)師能夠提前了解兩年后市場上會出現(xiàn)哪些產(chǎn)品。從技術(shù)角度來看,如果先進(jìn)封裝不是未來最重要的發(fā)展方向,那么它絕對是推動和促成行業(yè)諸多積極變革的最重要因素之一。

這更加強(qiáng)調(diào)了早期的可行性和探索?!斑^去,PCB設(shè)計(jì)只是敷衍了事?!毙滤伎萍迹⊿ynopsys)產(chǎn)品管理總監(jiān)Keith Lanier表示,“從事系統(tǒng)架構(gòu)設(shè)計(jì)的人員用電子表格程序來做。他們可能有MATLAB模型或類似的東西,并且有自己的方法從架構(gòu)層面判斷系統(tǒng)是否能正常工作。那種日子已經(jīng)一去不復(fù)返了。我們擁有更好的工具,能夠盡早進(jìn)行研究,并擁有具有物理感知能力的功能架構(gòu)設(shè)計(jì)。關(guān)鍵在于,即使在編寫任何一行RTL代碼之前,你也必須開始考慮需要應(yīng)用于系統(tǒng)的工作負(fù)載。你需要使用功能架構(gòu)來驅(qū)動物理架構(gòu),然后將物理數(shù)據(jù)反饋回來,以便盡早調(diào)整功能架構(gòu)。”

Chiplet的優(yōu)勢之一是它們可以用來調(diào)整設(shè)計(jì)以適應(yīng)特定的用例和工作負(fù)載。但對于依賴標(biāo)準(zhǔn)化Chiplet的主流應(yīng)用而言,這種靈活性和定制化程度將取決于Chiplet標(biāo)準(zhǔn)的制定嚴(yán)格程度。

“與汽車或工業(yè)應(yīng)用相比,數(shù)據(jù)中心對Chiplet系統(tǒng)的要求有所不同。”弗勞恩霍夫IIS/EAS高效電子部門負(fù)責(zé)人Andy Heinig表示,“汽車和工業(yè)應(yīng)用不需要達(dá)到最高的能效,而數(shù)據(jù)中心則需要非常高的能效。但像BoW和UCIe這樣的協(xié)議效率不夠高。因此,如果自行實(shí)施,效率會更高,因?yàn)槟銦o需支持不必要的功能。從數(shù)據(jù)中心的角度來看,這是一個大問題?!?/p>

Chiplet旨在實(shí)現(xiàn)最高的性能或效率,而非與目標(biāo)應(yīng)用之外的設(shè)備實(shí)現(xiàn)互操作性。但市場上的其他公司通常希望Chiplet具有互操作性且經(jīng)濟(jì)高效,這使得他們在功耗和性能方面處于劣勢。

Andy Heinig表示:“目前看來,尤其是在UCIe方面的開發(fā)最終會導(dǎo)致IP價格高昂。他們必須支持許多模式。對于某些更高的通信層,如果你認(rèn)為可以在UCIe上使用PCIe,那么就需要PCIe IP,而這非常昂貴。這使得整個通信IP非常昂貴,這就是我們目前看到的。我們最初預(yù)計(jì)die-to-die接口的成本會很低,以便每個人都可以使用,但現(xiàn)在IP價格非常昂貴,這使得工業(yè)應(yīng)用很難使用。汽車行業(yè)也是如此,因?yàn)槠囆袠I(yè)非常注重成本,會認(rèn)真考慮IP是否從成本角度滿足其需求。”

BoW的成本可能更低,但它缺乏UCIe那樣的互操作性廣度?!拔覀兡壳罢J(rèn)為這是一個先有雞還是先有蛋的問題?!盇ndy Heinig說,“我們需要更多原型演示,才能弄清楚哪些是真正必要的,因?yàn)橛行╅_發(fā)還是PPT,有些人只是寫下了對未來的期望,但并沒有真正從清晰的應(yīng)用角度出發(fā)。我們在其他協(xié)議上也看到過這種情況。它們是一步一步、一代一代地開發(fā)出來的,只加入了必要的內(nèi)容。而我們的感覺是,標(biāo)準(zhǔn)中幾乎包含了所有東西,之后再進(jìn)行梳理非常困難?!?/p>

對于Chiplet來說,選擇協(xié)議是一項(xiàng)重要的決定。“UCIe有針對電路板的協(xié)議,即使Chiplet彼此靠近(即使距離只有4~5毫米),如果想要高速通信,芯片之間的連接線仍然很大?!盇nsys產(chǎn)品營銷總監(jiān)Marc Swinnen表示,“我們看到UCIe似乎是最常用的協(xié)議,但BoW和其他一些協(xié)議也在使用。EDA供應(yīng)商開始推出專門針對這些設(shè)計(jì)的UCIe開發(fā)/實(shí)用程序套件。但專有協(xié)議(例如英偉達(dá)的NVLink)仍在使用,它們是整個Chiplet生態(tài)系統(tǒng)設(shè)計(jì)的重要組成部分?!?/p>

現(xiàn)在的一大挑戰(zhàn)是一開始就權(quán)衡盡可能多的利弊,然后制定一個針對特定應(yīng)用所需的優(yōu)化計(jì)劃。

Ansys產(chǎn)品管理總監(jiān)Suhail Saif表示:“業(yè)內(nèi)從事這些設(shè)計(jì)的客戶和用戶一直在進(jìn)行權(quán)衡。這是每天都要做的事情。他們希望找到一個最佳平衡點(diǎn),既不會在性能和容量上做出過多妥協(xié),也不會在功耗上過度優(yōu)化,同時又能控制功耗范圍,以免給系統(tǒng)的其他部分帶來負(fù)擔(dān)。這是一個持續(xù)的挑戰(zhàn),即使是業(yè)內(nèi)的功耗優(yōu)化解決方案,也始終需要在優(yōu)化不足和過度優(yōu)化之間保持微妙的平衡。EDA解決方案能夠?yàn)樵O(shè)計(jì)團(tuán)隊(duì)提供大幅提升功耗的建議,但它們?nèi)狈η擅畹胤治龉膶υO(shè)計(jì)性能或功能影響的能力,因?yàn)樗鼈儗?shí)際上只關(guān)注功耗這一單一指標(biāo)。這始終是一個挑戰(zhàn)。”

Chiplet的靈活性

Chiplet的一大吸引力在于它能為設(shè)計(jì)團(tuán)隊(duì)提供極大的靈活性。無需重新設(shè)計(jì)整個多芯片設(shè)計(jì),即可輕松更換Chiplet,這對于縮短產(chǎn)品上市時間并針對特定工作負(fù)載和應(yīng)用而言是巨大的優(yōu)勢,但到目前為止,這種能力僅限于少數(shù)芯片制造商。

“微處理器公司確實(shí)利用了可重復(fù)使用部件所帶來的靈活性?!盇nsys的Marc Swinnen說道,系統(tǒng)有些部分他們無需在不同產(chǎn)品之間重新設(shè)計(jì),因?yàn)樗鼈兊墓δ芟嗤K麄冎恍鑼⑾嗤男酒傻揭黄鸺纯?。這適用于多CPU版本。你可以擁有8、12或24 CPU版本,只需添加更多Chiplet即可??芍赜眯允瞧渲泻苤匾囊徊糠郑诋a(chǎn)品設(shè)計(jì)中提供的靈活性無疑正是他們充分利用的優(yōu)勢。因此,他們非常重視確保其盡可能地可重復(fù)使用。但始終存在一個權(quán)衡:是從一開始就完全定制還是重復(fù)使用?以蘋果為例,他們擁有Arm的許可證,但他們不采用Arm預(yù)先設(shè)計(jì)的Arm公版架構(gòu)版本。他們自己設(shè)計(jì)并進(jìn)行最大程度的優(yōu)化。但大多數(shù)人最好還是采用Arm優(yōu)化過的軟核。這始終是一個權(quán)衡。你隨時可以將其推向極限并重新設(shè)計(jì)?!盀榱双@得極致的優(yōu)化速度,你可以自己動手,但這樣做是否值得你投入時間和精力,從而獲得更高的收益?或者,即使可重復(fù)使用的芯片需要一定的成本,你還是選擇重復(fù)使用芯片更好?”

除了性能和功耗之外,其他關(guān)注點(diǎn)還包括可靠性和安全性。“說到可靠性,可以看看USB接口?!盡arc Swinnen說道,“沒有人會自己設(shè)計(jì)USB。USB的設(shè)計(jì)是經(jīng)過安全測試的,而且你也知道你不想冒險通過所有可能的排列組合來驗(yàn)證自己的設(shè)計(jì)。采用現(xiàn)有的設(shè)計(jì)更安全,因?yàn)槟阒浪行?。Chiplet市場在概念上與IP市場并沒有本質(zhì)區(qū)別。細(xì)節(jié)更復(fù)雜,需要考慮的問題也更多,但我認(rèn)為我們沒有理由像克服IP那樣克服這些問題。我們轉(zhuǎn)向IP的原因仍然適用于Chiplet?!?/p>

然而,需要多少個Chiplet標(biāo)準(zhǔn)尚不清楚。“這肯定正在全面討論和開發(fā)中,”Marc Swinnen說,“新標(biāo)準(zhǔn)必須比現(xiàn)在更豐富。有信號接口標(biāo)準(zhǔn)。還必須有一個熱標(biāo)準(zhǔn),而IP塊以前從未有過這樣的標(biāo)準(zhǔn)。還必須有一個機(jī)械軌道標(biāo)準(zhǔn)。還有厚度標(biāo)準(zhǔn)。你看,即使是今天的2.5D堆棧,有些芯片比其他芯片厚,他們必須在它們上面放置小型虛擬硅片,才能形成一個光滑的表面,方便散熱器連接。因此,與常規(guī)IP相比,需要標(biāo)準(zhǔn)化的問題更多,但這只是相同原則的延續(xù),只是涉及更多物理知識?!?/p>

后續(xù)Chiplet會怎樣發(fā)展?

短期內(nèi),可以解決一些容易實(shí)現(xiàn)的難題,以推動Chiplet方法的發(fā)展,包括安全性、測試、功耗和時鐘。長期問題在于弄清楚不同的Chiplet如何相互作用。

西門子EDA中央工程解決方案總監(jiān)Pratyush Kamal表示:“對于其中一些,你必須讓Chiplet更加自主。最終,Chiplet與傳統(tǒng)芯片定義之間的界限將會模糊。我們往往會自欺欺人地認(rèn)為Chiplet是一個需要先進(jìn)封裝的實(shí)體,需要與另一個Chiplet緊密集成。但Chiplet也可以獨(dú)立于封裝之外。在我看來,今天的芯片設(shè)計(jì)為獨(dú)立工作。一旦所有底架標(biāo)準(zhǔn)化就位,未來的Chiplet就會慢慢呈現(xiàn)出這種樣子。我剛剛改變了‘Chiplet’的定義,使其包含兩點(diǎn)。第一,它需要與另一個Chiplet進(jìn)行更高帶寬的接口——高于通常的帶寬。這種帶寬應(yīng)與其片上性能(片上總線)相當(dāng)。第二,它要么依賴于另一個Chiplet的資源,要么負(fù)責(zé)管理它們以及底架資源。這才是最終使其成為Chiplet的原因,因?yàn)榧词刮覀兠魈爝M(jìn)行標(biāo)準(zhǔn)化,任務(wù)仍然會由少數(shù)Chiplet(而非全部)來委托和決定,而且它的架構(gòu)會有層次結(jié)構(gòu)?!?/p>

這意味著在創(chuàng)建微架構(gòu)時,可能需要為一些目前尚不存在、可在以后添加的功能做好準(zhǔn)備。Pratyush Kamal表示:“這可能是分配額外的寄存器空間,為以后可能出現(xiàn)的一些應(yīng)用程序定位額外的一次性可編程內(nèi)存空間。一旦進(jìn)入軟件層,一切都變得靈活,你可以做很多事情。但是,當(dāng)芯片仍在制造或測試中時,沒有軟件加載。在設(shè)計(jì)Chiplet時要仔細(xì)思考。在沒有軟件的裸機(jī)模式下,你如何與另一個Chiplet通信?在沒有任何編程的情況下,你將如何做到這一點(diǎn)?這非常關(guān)鍵?!?/p>

參考鏈接:https://semiengineering.com/chiplet-tradeoffs-and-limitations/

5.美國芯片關(guān)稅征收倒計(jì)時,稅率高達(dá)100%?半導(dǎo)體廠商屏息以待

美國總統(tǒng)特朗普最快本周宣布對進(jìn)口芯片實(shí)施關(guān)稅,市場預(yù)估稅率可能介于25%~100%;法人指出,此芯片關(guān)稅恐對臺積電及中國臺灣半導(dǎo)體廠商產(chǎn)生深遠(yuǎn)影響,新規(guī)則不排除以晶圓制造地(wafer out)來源征稅,半導(dǎo)體廠商皆屏息以待。

廠商表示,中國臺灣半導(dǎo)體產(chǎn)業(yè)被視為“硅盾”,但特朗普芯片關(guān)稅政策可能削弱這一優(yōu)勢。若關(guān)稅過高,美國客戶可能轉(zhuǎn)向其他國家/地區(qū)(例如韓國或日本)尋求替代供應(yīng)商,或促使中國大陸加速自制芯片進(jìn)程。同時,美國對臺積電的依賴與地緣政治緊張局勢,若加速運(yùn)行“美國制造”目標(biāo),將使關(guān)稅政策可能引發(fā)報復(fù)性措施,進(jìn)一步擾亂全球供應(yīng)鏈。

中國臺灣IC設(shè)計(jì)廠商近日于法說會上強(qiáng)調(diào),4月初運(yùn)行的對等關(guān)稅并未見重大訂單調(diào)整,客戶下單多采取穩(wěn)定態(tài)度觀望面對,惟對于半導(dǎo)體關(guān)稅,廠商認(rèn)為,采取晶圓產(chǎn)地征稅,將使得美國客戶面臨進(jìn)口關(guān)鍵零組件成本顯著提高,首先沖擊的就是于亞洲投片生產(chǎn)的美國芯片廠商。

若新制如市場推測,改以晶圓制造地認(rèn)定征稅,不排除推動部分產(chǎn)能回流美國本土,但預(yù)計(jì)供應(yīng)鏈重組過程中,將出現(xiàn)采購周期拉長、運(yùn)輸遞延等不確定性,必定影響企業(yè)獲利,同時影響美國芯片廠商在全球的競爭優(yōu)勢。

廠商也強(qiáng)調(diào),半導(dǎo)體屬于上游產(chǎn)業(yè),一旦芯片被征稅,仍有高幾率與能力可以向下轉(zhuǎn)嫁,但由于科技產(chǎn)品不是只有單一芯片,多款應(yīng)用芯片都進(jìn)行成本轉(zhuǎn)嫁后,終端產(chǎn)品售價就會出現(xiàn)驚人調(diào)整,因此,中國臺灣半導(dǎo)體廠商近期關(guān)注焦點(diǎn),也逐漸由特朗普政府變來變?nèi)サ年P(guān)稅政策,轉(zhuǎn)為關(guān)切終端消費(fèi)需求受沖擊程度為何。(工商時報)


6.三星代工業(yè)務(wù)再遭打擊,AMD放棄采用其4nm制程技術(shù)

三星代工廠近期發(fā)展不順,其在3nm工藝制程上的困境已廣為人知,導(dǎo)致該公司可能錯失高通和英偉達(dá)等公司數(shù)十億美元的訂單。

此外,其傳統(tǒng)制程的需求放緩,迫使三星關(guān)閉部分生產(chǎn)線以降低成本。AMD近期預(yù)計(jì)將采用三星的第四代4nm制程節(jié)點(diǎn)(SF4X),但據(jù)報道該公司已決定放棄。

AMD放棄該交易的原因尚不清楚。

三星代工廠于今年3月開始量產(chǎn)采用SF4X制程的芯片。三星自2021年以來一直在生產(chǎn)4nm芯片,并持續(xù)升級該制程技術(shù)。最新版本的4nm制程采用后端線路布線技術(shù),可降低制造成本并提升芯片性能。

據(jù)報道,AMD原本計(jì)劃采用三星SF4X工藝制造其服務(wù)器CPU I/O芯片,因?yàn)榕_積電的4nm產(chǎn)能不足,無法滿足AMD的需求。三星或許是更具成本效益的選擇,眾所周知,三星與臺積電展開激烈的價格競爭,以贏得客戶。

目前尚不清楚具體發(fā)生了什么變化,但一份新報告稱,AMD已決定不再采用三星的SF4X工藝來生產(chǎn)這些芯片?;蛟S是為了獲得臺積電的產(chǎn)能配額,又或許是為了與三星達(dá)成更有利的協(xié)議,但無論如何,這只會加劇三星代工廠的挑戰(zhàn)。

7.美議員擬推新法案:追蹤英偉達(dá)AI芯片去向,遏制對華偷運(yùn)

一位美國議員計(jì)劃在未來幾周內(nèi)提出一項(xiàng)法案,以核實(shí)英偉達(dá)等公司生產(chǎn)的人工智能(AI)芯片售出后的去向。

這項(xiàng)密切監(jiān)控芯片的舉措得到了美國兩黨議員的支持,旨在解決有關(guān)英偉達(dá)芯片廣泛偷運(yùn)的報道,這些行為違反了美國的出口管制法規(guī)。

英偉達(dá)芯片是創(chuàng)建AI系統(tǒng)(例如聊天機(jī)器人、圖像生成器等)的關(guān)鍵組件。美國總統(tǒng)唐納德·特朗普和他的前任喬·拜登政府都逐步加強(qiáng)了對英偉達(dá)芯片對華出口管制。

不過,有報道稱,部分芯片仍在繼續(xù)流通,英偉達(dá)也公開聲稱無法追蹤售出的產(chǎn)品。

美國伊利諾伊州民主黨眾議員Bill Foster曾擔(dān)任粒子物理學(xué)家。他表示,追蹤芯片售出后的技術(shù)已經(jīng)唾手可得,其中大部分技術(shù)已經(jīng)內(nèi)置于英偉達(dá)的芯片中。

Bill Foster在其科學(xué)生涯中成功設(shè)計(jì)了多款計(jì)算機(jī)芯片,他計(jì)劃在未來幾周內(nèi)提出一項(xiàng)法案,指示美國監(jiān)管機(jī)構(gòu)在兩個關(guān)鍵領(lǐng)域制定規(guī)則:追蹤芯片,確保它們在獲得出口管制許可的授權(quán)范圍內(nèi);以及阻止未獲得出口管制適當(dāng)許可的芯片啟動。

Bill Foster表示,目前已有可靠報告顯示芯片偷運(yùn)活動正在大規(guī)模發(fā)生,其中一些報告尚未公開披露。

據(jù)分析公司SemiAnalysis稱,DeepSeek AI系統(tǒng)的出現(xiàn)對美國系統(tǒng)構(gòu)成強(qiáng)勁挑戰(zhàn),采用的英偉達(dá)芯片被禁止出售給中國。新加坡檢察官已指控三名人員犯有欺詐罪,案件涉及可能包含英偉達(dá)芯片的服務(wù)器。

雖然尚未廣泛應(yīng)用,但驗(yàn)證芯片位置的技術(shù)已經(jīng)存在。據(jù)消息人士透露,出于安全目的,Alphabet旗下谷歌已經(jīng)在其龐大的數(shù)據(jù)中心網(wǎng)絡(luò)中追蹤其內(nèi)部AI芯片和其他芯片的位置。

Bill Foster的法案提議將給予美國商務(wù)部六個月的時間來制定強(qiáng)制使用該技術(shù)的法規(guī)。

Bill Foster的法案得到了眾議院“特別委員會”資深成員Raja Krishnamoorthi等民主黨的支持。Raja Krishnamoorthi在一份聲明中表示:“芯片位置驗(yàn)證是我們應(yīng)該探索的一種創(chuàng)新解決方案,以阻止這種偷運(yùn)活動?!?/p>

共和黨人也對此表示支持。特別委員會主席、眾議員John Moolenaar表示:“特別委員會得到兩黨的大力支持,要求英偉達(dá)等公司在其高性能AI芯片中內(nèi)置位置追蹤功能——而且這項(xiàng)技術(shù)已經(jīng)存在?!?/p>

驗(yàn)證芯片位置的技術(shù)將依賴于芯片與安全的計(jì)算機(jī)服務(wù)器通信,該服務(wù)器將利用信號到達(dá)服務(wù)器所需的時間來驗(yàn)證芯片的位置,而這一概念依賴于計(jì)算機(jī)信號以光速傳播的認(rèn)知。

華盛頓智庫Institute for Progress前工程師兼新興技術(shù)政策主管Tim Fist表示,這種追蹤技術(shù)將提供芯片的總體、國家/地區(qū)級位置信息。但這比美國商務(wù)部負(fù)責(zé)執(zhí)行出口管制的機(jī)構(gòu)工業(yè)和安全局(BIS)目前掌握的信息要多得多。

Tim Fist說:“BIS不知道哪些芯片一旦流向海外,就應(yīng)該作為潛在的重點(diǎn)調(diào)查對象。通過位置驗(yàn)證,他們現(xiàn)在至少把世界上現(xiàn)有的芯片分為兩類:一類很可能沒有被偷運(yùn),另一類則需要進(jìn)一步調(diào)查?!?/p>

Bill Foster的第二個立法目標(biāo)是阻止未獲得美國出口管制適當(dāng)許可的AI芯片啟動,這在技術(shù)上比位置驗(yàn)證更復(fù)雜,但他表示,現(xiàn)在是時候開始討論這兩項(xiàng)措施了。

“我們已經(jīng)獲得了足夠的意見,我認(rèn)為現(xiàn)在我們可以與實(shí)際的芯片和模塊供應(yīng)商進(jìn)行更詳細(xì)的討論,并討論‘將如何實(shí)際實(shí)現(xiàn)這一點(diǎn)?’”Bill Foster表示。


責(zé)編: 愛集微
來源:愛集微 #芯片# #半導(dǎo)體#
THE END

*此內(nèi)容為集微網(wǎng)原創(chuàng),著作權(quán)歸集微網(wǎng)所有,愛集微,愛原創(chuàng)

愛集微

微信:

郵箱:laoyaoba@gmail.com


10.9w文章總數(shù)
12012.5w總瀏覽量
最新資訊
關(guān)閉
加載

PDF 加載中...

国产高清在线观看一区二区三区| 大肌巴日小个子女人视频| 从后面狠狠的干白嫩少妇| 黑人大吊又操又添| 国产成人亚洲欧美久久| 被几个大屌老外轮操| 女人逼逼出水视频| 日韩美女在线视频一区不卡| 999久久久国产大美腿| 亚洲中文字幕二区不卡| 狂野国产性爱av| 久久久久亚洲精品无码系列| 国产剧情使劲操我逼| 美女日比视频播放| 男人操女人下面国产剧情| 玩弄邻居少妇呻吟11p| 我要操日本女人的逼| 欧美日韩久久久一区二区三区| 亚洲国产一区二区不卡在线资源| 日本成人精品一区二区三区 | 久久久国产调教性奴| 我要操日本女人的逼| 亚洲午夜av一区二区三区| 精品精品国产一区二区性色av| 日韩午夜经典福利| 女人日比比视频免费| 黄色av成年人在线观看| 亚洲欧洲日本精品| 久久久精品欧美一区二区三免费| 日本亚洲欧洲一区二区| 让女人下面出水视频| 操纯欲女生小穴视频| 2021国产一区二区岛国| 最新的亚洲欧美中文字幕| 欧美十八一区二区三区| 久久久久久久 亚洲精品| 国产精品熟女一区二区三区久久夜| 国产天堂网一区二区三区 | 无码社区在线观看| 亚洲综合无码一区二区丶| 骚穴 操我 视频|